EEVblog #636 - FPGA Demo Boards - DE0 Nano

Dave checks out several FPGA demo boards, and tries out the DE0 Nano and Altera Quartus II software. Digilent Basys2: Papilio One: NOTE: Yes, this video has some audio problems, sorry, it was in the original source files. EEVblog Main Web Site: EEVblog Amazon Store: Donations: Projects: Electronics Info Wiki: Теги: Field-programmable Gate Array,fpga,review,de0 nano
Back to Top